Scroll to navigation

YOSYS-SMTBMC(1) YOSYS-SMTBMC(1)

NAME

yosys-smtbmc - write design to SMT2-LIBv2 file

SYNOPSIS

yosys-smtbmc [options] <yosys_smt2_output>

OPTIONS

default: skip_steps=0, num_steps=20
assume asserts in skipped steps in BMC
proof <step_size> time steps at once
write counter-example to this VCD file (hint: use 'write_smt2 -wires' for maximum coverage of signals in generated VCD file)
instead of BMC run temporal induction
name of the top module
Set SMT solver: z3, cvc4, yices, mathsat. default: z3
enable debug output
disable timer display during solving
write smt2 statements to file

AUTHOR

This manual page was written by Sebastian Kuzminsky <seb@highlab.com> for the Debian project (and may be used by others).

02 November 2023