Scroll to navigation

xschem(1) xschem manual xschem(1)
3.

NAME

xschem - schematic capture and netlisting EDA tool

SYNPOSIS


xschem [options] [inputfile]

DECSRIPTION

Xschem is a schematic capture program, it allows creation of hierarchical representation of circuits with a top down approach.

OPTIONS

-h
Print help screen and exit.
-n
do a netlist of the given schematic cell
-v
set netlist type to VHDL
-w
set netlist type to verilog
-t
set netlist type to tedax
-s
set netlist type to SPICE
-3
set page size for printing to A3
-x
dont use X (only command mode)
-i
Do not read any system or user configuration files (xschemrc)
-z
use a raibow-looking layer color table
-W
show simulation waveforms
-f
set flat netlist (for spice format only)
-r
start without the tclreadline package (this is necessary if stdin and stdout are to be redirected for example to /dev/null).
-c
set color postscript
-p
print schematic
-S
simulate schematic
-q
quit after doing things (no interactive mode)
-l ile
set a log file
-d evel
set debug level: 1, 2, 3, n sets C program debug, -1, -2, -3, -n sets TCL frontend debug

FILES

$PREFIX/share/xschem/xschemrc
default configuration
~/.xschem/xschemrc
user configuration; if exists overrides settings from default configuration; may be partial: settings not overridden will remain as set from the system config
./xschemrc
local/per-project configuration; if exists overrides settings from the user configuration and default configuration; may be partial: settings not overridden will remain as set from the system config or user config
$PREFIX/share/xschem/systemlib
builtin essentials, such as font and missing symbol graphics
$PREFIX/share/xschem/utile
TODO
2018-01-01